Портал образовательно-информационных услуг «Студенческая консультация»

  
Телефон +3 8(066) 185-39-18
Телефон +3 8(093) 202-63-01
 (093) 202-63-01
 studscon@gmail.com
 facebook.com/studcons

<script>

  (function(i,s,o,g,r,a,m){i['GoogleAnalyticsObject']=r;i[r]=i[r]||function(){

  (i[r].q=i[r].q||[]).push(arguments)},i[r].l=1*new Date();a=s.createElement(o),

  m=s.getElementsByTagName(o)[0];a.async=1;a.src=g;m.parentNode.insertBefore(a,m)

  })(window,document,'script','//www.google-analytics.com/analytics.js','ga');

 

  ga('create', 'UA-53007750-1', 'auto');

  ga('send', 'pageview');

 

</script>

Система керування складом готової продукції

Тип работы: 
Курсова робота
К-во страниц: 
24
Язык: 
Українська
Оценка: 
КУРСОВА РОБОТА
 
з дисципліни «Технології проектування комп’ютерних систем» на тему:
 
«Система керування складом готової продукції»
 
РЕФЕРАТ
 
Пояснювальна записка: сторінок, рисунків, таблиць, використаних джерел.
Об’єкт аналізу – системна програма керування складом готової продукції в осередку Active-HDLта її проектування.
Робота складається з чотирьох розділів.
Перший розділ присвячений ознайомленню з мовою VHDL, її перевагам та недолікам, а також огляду та опису функціонування системи керування складом готової продукції.
У другому розділі виконаний аналіз, опис та побудова асинхронного RS-тригера, що відповідає роботі даної системи. RS-тригер побудований на логічних елементах.
Третій розділ присвячений розробці та реалізації діаграми станів кінцевого автомату, що відповідає функціонуванню системи керування складом.
Explanatory note: pages, figures, tables, sources used. The object of analysis -.
The object of analysis – Warehouse Management system program finished product in a cell Active-HDLta its design.
The work consists of four sections.
The first section is devoted to learn the language VHDL, its advantages and disadvantages, and review and description of the system WM finished products.
The second section of the analysis, description and building asynchronous RS-trigger corresponding work of systemy. RS trigger built on logic elements.
The third section is devoted to the development and implementation of finite state machine diagram that corresponds to the operation control system composition.
 
ЗМІСТ
 
1. АЛГОРИТМ ФУНКЦІОНУВАННЯ ПРИСТРОЮ
1.1. Постановка задачі
1.2. Опис принципу дії пристрою
2. РОЗРОБКА СХЕМ ТА ПРОГРАМ ПРИМІТИВНИХ КОМПОНЕНТІВ ЦИФРОВОГО АВТОМАТУ
2.1. Розробка перетворювача логічного синтезу
3. РОЗРОБКА БЛОК-СХЕМИ ТА ДІАГРАМИ СТАНІВ РОБОТИ ПРИСТРОЮ
4. ТЕСТУВАННЯ РОБОТИ ПРИСТРОЮ ТА АНАЛІЗ ЧАСОВИХ ДІАГРАМ
Висновки
Список використаних джерел
 
1. АЛГОРИТМ ФУНКЦІОНУВАННЯ ПРИСТРОЮ
 
1.1. Постановка задачі
 
Метою даної курсової роботи є проектування та написання програми для функціональної системи, що керує складом готової продукції.
Комп’ютерні технології не стоять на місці, щодня, щохвилини вони розвиваються, набуваючи все нових та нових можливостей. Ще декілька десятків років тому комп’ютер могли придбати лише великі підприємства, оскільки він був дуже громіздким, займав багато місця та на його придбання підприємства витрачали великі кошти. Проте сьогодні комп’ютер є майже в кожної людини.
Основним завданням комп’ютерних технологій є впровадження функцій, які полегшують життя кожного. І дійсно, на сьогоднішній день важко уявити повсякденне життя без підприємств, супермаркетів, банків та інших галузей, де відбувається тісна взаємодія людини з технікою. Комп’ютери стали невід’ємною частиною нашої роботи, дозвілля та надійним джерелом інформації.
Саме тому написання програми для автоматизації функціонування системи керування складом готової продукції є актуальним на сьогоднішній час. Під системою керування складом готової продукції мається на увазі автоматизоване підприємство, яке займається прийомом, зберіганням та доставкою певної продукції. Дуже важливо, щоб усі ці процеси виконувались чітко та своєчасно. Забезпечити повну та безвідмовну роботу усієї системи можна, спроектувавши та застосувавши програму керування системою.
В якості осередку написання програми було обрано Active-HDL 9. 1.
Стандартні мови опису апаратури (VHDLіVerilog) дуже зручні для проектування електроннихсистем і пристроїв на основі ПЛІС і зростають у популярності. При проектуванні складних ПЛІС інженери вже не можуть використовувати булеві рівняння або описи у вигляді логічних схем, щоб забезпечити швидке та ефективне повнепроектування. Мови опису апаратури типу VHDL (Very high speed integrated circuit Hardware Description Language) забезпечуютьвисокорівневий опис найскладніших пристроїв, дозволяють виконати проектування значно швидше, підтримують створення бібліотекпроектування, вякихкомпонентиможутьбутибагаторазововикористанівподальшихпроектах.
До сих пір одним з найбільш трудомістких етапів проектування пристроїв є створення електричних схем. Це пов'язано з тим, що безліч електронних компонентів і зв'язків між ними доводиться вводити вручну. Після завершення схеми багато часу займає перевірка правильності схеми. САПР (системи автоматизованого проектування) поліпшили ситуацію, але і вони також віднімають багато часу на верифікацію проектів. Модернізація таких схем часто стає просто неможливою без тотального перероблення конструкції друкованої плати. Сучасність вимагає, щоб схеми були описані чітко і ємко. Саме тому три десятиліття тому при проектуванні НВІС (Над великих інтегральних схем) від створення логічних схем стали відмовлятися. Дійсно, доходило до того, що електрична схема кристала розміром 1х1 см займала кілька тисяч аркушів формату A3.
Мова VHDL – незвична мову, як для програмістів, так і для розробників електронної апаратури. Це пов'язано з тим, що за своєю суттю VHDL – мова паралельного програмування.
Слід врахувати, що сучасна технологія проектування логічних схем пред'являє до проектів, описаним на VHDL, цілий ряд особливих вимог. А схемотехнік, який все-таки вирішив вивчити VDHL, з цими особливими вимогами часто не знайомиться.
Проте VHDL-програми мають переваги перед схемним проектуванням:
- за допомогою VHDL набагато простіше ввести і перевірити великий проект. Десятьма рядками VHDL можна описати як один, так і 100 000 тригерів;
- VHDL-проекти не обов'язково макетувати. Достатньо просто запустити їх VHDL-код через симулятор HDL;
- VHDL-програми не вимагають їх подання у вигляді схеми. Нечіткість і недбалість опису також виключаються, так як таку програму не складно
CAPTCHA на основе изображений